Get notifications to your inbox about new jobs that are similar to this one.
No spam. No ads. Unsubscribe anytime.
Micron Technology is a world leader in innovating memory and storage solutions that accelerate the transformation of information into intelligence, inspiring the world to learn, communicate and advance faster than ever
Our vision is to transform how the world uses information to enrich life for all.
Micron Technology is a world leader in innovating memory and storage solutions that accelerate the transformation of information into intelligence, inspiring the world to learn, communicate and advance faster than ever.
JR48604 Staff Physical Design Engineer -HIG HBM
For more than 40 years, Micron Technology, Inc. has redefined innovation with the world’s most advanced memory and semiconductor technologies. We’re an international team of visionaries and scientists, developing groundbreaking technologies that are transforming how the world uses information to enrich life.
As a Staff Physical Design Engineer, you will be an integral part of our DEG Team in Hyderabad, India, responsible for designing and developing complex Application-Specific Integrated Circuits to meet our product's specifications and requirements for High Bandwidth Memories, working for intensive applications such as artificial intelligence and high performance computing solution. Your expertise will contribute to the successful realization of cutting-edge semiconductor products, enabling our company to maintain its competitive edge in the industry.
Role and Responsibilities
• Design IPs or Hierarchical blocks solutions for high-performance and low-power applications, collaborating with cross-functional teams to define project requirements.
• Conduct feasibility studies and create detailed IPs or Hierarchical blocks design specifications, ensuring alignment with project goals and objectives.
• Enable Place and route ,clock tree synthesis capabilities for the SoC Integration.
• Implement and optimize digital designs using hardware description languages (HDLs) like Verilog or VHDL, considering design trade-offs and performance metrics.
• Evaluate RTL coding, timing analysis, synthesis, and functional verification to ensure the correctness and robustness of the design.
• Lead and participate in verification efforts, including writing testbenches, running simulations, and debugging functional and timing issues.
• Collaborate with physical design engineers to guide and optimize the layout to performance and power targets.
• Contribute to the evaluation and selection of third-party IP blocks to integrate into the IPs or Hierarchical blocks design and perform full chip analysis. Stay up to date with the latest design methodologies, tools, and industry trends, continuously improving design practices. Mentor junior engineers, providing technical guidance and support to help them grow in their roles.
Qualification/Requirements
• 8+ years of relevant work experience in RTL to GDS focused on high-performance architectures.
• Experience in physical design, timing closure, and physical integration/signoff. Should have multiple tape-out experiences.
• Proficiency in industry standard RTL development/ analysis and synthesis tools.
• A drive to continuously learn and expand architectural breadth and depth.
• Ability to evaluate microarchitectural options for tradeoffs across design, verification, and PD.
• Experience interconnecting and analyzing complex microarchitectural structures and subsystems.
• Proven experience in IPs or Hierarchical blocks design, ideally with a focus on complex digital systems and high-performance computing.
• Proficiency in hardware description languages (HDLs) such as Verilog or VHDL, and familiarity with EDA tools for synthesis and verification.
• Strong understanding of design methodologies, including RTL coding, functional verification, and timing closure.
• Familiarity with scripting languages (e.g., Python, Perl) for automating design tasks is a plus.
• Excellent problem-solving and analytical skills, with a keen attention to detail.
• Ability to work effectively in a team-oriented and fast-paced environment.
• Experience in managing multiple layout projects, ensuring quality checks are taken care at all stages of layout development.
• Effective communication and interpersonal skills, with the ability to collaborate with cross-functional teams.
Education
BE or MTech in Electronic/VLSI Engineering
(We will also consider exceptionally talented Diploma holders in electronic or VLSI engineering)
All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, veteran or disability status.
About Micron Technology, Inc.
We are an industry leader in innovative memory and storage solutions transforming how the world uses information to enrich life for all. With a relentless focus on our customers, technology leadership, and manufacturing and operational excellence, Micron delivers a rich portfolio of high-performance DRAM, NAND, and NOR memory and storage products through our Micron® and Crucial® brands. Every day, the innovations that our people create fuel the data economy, enabling advances in artificial intelligence and 5G applications that unleash opportunities — from the data center to the intelligent edge and across the client and mobile user experience.
To learn more, please visit micron.com/careers
All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, veteran or disability status.
To request assistance with the application process and/or for reasonable accommodations, please contact hrsupport_in@micron.com
Micron Prohibits the use of child labor and complies with all applicable laws, rules, regulations, and other international and industry labor standards.
Micron does not charge candidates any recruitment fees or unlawfully collect any other payment from candidates as consideration for their employment with Micron.
🇮🇳Added 7h ago
ASIC Development Engineer
At Western Digital, our vision is to power global innovation and push the boundaries of technology to make what you thought was once impossible, possible (Computer Hardware)
Palladium/ProtiumFPGA PlatformsHAPSPCI ExpressUSBSATAUFSDDR4/5I2CUART + 8
🇮🇳Added 15h ago
Sr. Staff Physical Design Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
Cadence iSpatialFusion CompilerRedhawkPrimetimeTempusConformal/FormalitySTAPDNPDVLogic ECOs + 3
🇮🇳Added 2 days ago
Technical Lead
York International Corp. - A global leader in smart, healthy and sustainable buildings
MicrocontrollerMicroprocessorAltium DesignerDFMEAVA/VEDFMDFTEMI/EMC designsARM 32-bitSTM + 17
🇮🇳Added 3 days ago
Senior Design Engr - DFT
NL NXP Semiconductors Netherlands BV - NXP Semiconductors enables a smarter, safer and more sustainable world through innovation
SoC Scan ArchitectureClockingATPGSpyglass DFTScan InsertionFusion CompilerDesign CompilerDFT DRCCoverage analysisFront-end design + 2
🇮🇳Added 2 days ago
Senior Design Engineer - DFT
DE NXP Semiconductors Germany GmbH - NXP is a semiconductor company providing advanced technology solutions for the automotive industry.(Semiconductors)
SoC Scan ArchitectureClockingATPGSpyglass DFTScan InsertionFusion CompilerDesign CompilerDFT DRCCoverage analysis
🇮🇳Added 4 days ago
Senior Design Engineer
NVIDIA is a technology company that specializes in AI computing, with a focus on Deep Learning GPUs (Computer Hardware)
RTL designASIC design flowVerilogPython/Perl/shell