Search jobs 🔎
Filter +240K jobs from +16K companies and find your dream job. 🪄
📫 Job opened
Filter +240K jobs from +16K companies and find your dream job. 🪄
📫 Job opened
🇺🇸🇨🇦Added a month ago
Memory Controller Micro-Architect/Logic Designer
Samsung Electronics - A tech leader in mobile technologies, consumer electronics, home appliances, and enterprise solutions.
LPDDR5LPVerilogASIC design flowRTL designverificationlogic synthesisprototypingDFTtiming analysis + 7
🇺🇸💰Added 2 months ago
Technical Senior Staff Engineer
MSI - (Marvell Semiconductor Inc.) US - Marvell’s semiconductor solutions are the essential building blocks of the data infrastructure that connects our world.
DDRLPDDRGDDRHBMeMMCASIC design flowslogic synthesisphysical designtimingDRC/LVS + 9
🇺🇸Added 3 months ago
Physical Design Engineer
Intel's engineering group, supplying silicon to business units as well as other engineering teams.
Synopsys/Cadencelogic synthesisplace and routestatic timing analysisdesign closureTCLPerlPythonRubyRTL to GDS methodologies + 7
🇻🇳Added 3 months ago
Digital Design Engineer
MSI - (Marvell Semiconductor Inc.) US - Marvell’s semiconductor solutions are the essential building blocks of the data infrastructure that connects our world.
logic synthesisconstraint managementconstraint verificationtiming ECORTL LintDesign CompilerGenusPrimeTimeTempusFormality + 6
Remote🇺🇸Added 3 months ago
Physical Design Engineer - Graduate Intern
Intel's engineering group, supplying silicon to business units as well as other engineering teams.
SoC Design FlowsEDA toolsRTL to GDS designVLSI circuitsfloor planningtiming convergencelayout verificationlogic synthesiscomputer architecture
🇮🇳Added 3 months ago
Sr. Physical Design Engineer
InnoPhase IoT - A company focused on creating ULP wireless IoT solutions and user experiences in home, building, industrial automation and wearables.
SoClogic synthesisfloorplan and power planplace and routeclock tree synthesisstatic timing analysisIR drop analysisEMphysical verificationCadence EDA tools
Get notifications to your inbox about new jobs.
No spam. No ads. Unsubscribe anytime.
🇮🇳Added 3 months ago
Physical Design Engineer Lead
InnoPhase IoT - A company focused on creating ULP wireless IoT solutions and user experiences in home, building, industrial automation and wearables.
SoClogic synthesisfloorplanpower planplace and routeclock tree synthesisstatic timing analysisIR drop analysisEMphysical verification + 1
🇺🇸🇨🇦💰Added 3 months ago
Senior Logic Design Engineer
NVIDIA is a technology company that specializes in AI computing, with a focus on Deep Learning GPUs (Computer Hardware)
last-level cachesRTL coding/debugsynthesistiming closureprocessor designVerilogASIC design flowRTL designverificationlogic synthesis + 6
🇮🇳Added 3 months ago
Principal DFT Design Engineer
Cadence Design Systems - Leader in hardware emulation-acceleration technologies and products
DFT conceptsEDA ToolsATPG toolsCadence ModusRTL lint toolsJasperPerl/Tcl/Pythonscripting languagespost silicon validationATE debug + 8
🇺🇸💰Added 4 months ago
RTL Digital Design Engineer
d-Matrix is a company that has fundamentally changed the physics of memory-compute integration with their digital in-memory compute (DIMC) engine
Verilog/System VerilogASIC design flowRTL designverificationlogic synthesistiming analysisMixed-signal designsCloudEnterprise