Search jobs 🔎
Filter +240K jobs from +16K companies and find your dream job. 🪄
📫 Job opened
Filter +240K jobs from +16K companies and find your dream job. 🪄
📫 Job opened
🇮🇳Added 5 days ago
Physical Design Engineer
Intel's engineering group, supplying silicon to business units as well as other engineering teams.
SynthesisTape-outPD flowSTAFEVIR dropNoiseLow power checksDRC LVSSynopsys FC/DC-ICC2 + 10
🇮🇳Added 5 days ago
Staff Engineer - ASIC Development Engineering
At Western Digital, our vision is to power global innovation and push the boundaries of technology to make what you thought was once impossible, possible (computer hardware manufacturing)
DFTSCANMBISTBSDLHDLJTAGATPGTest mode timing closureSilicon debugsShmoo + 3
🇮🇳Added 6 days ago
Principal Engineer
Micron Technology Taiwan - Micron Technology is a world leader in innovating memory and storage solutions that accelerate the transformation of information into intelligence, inspiring the world to learn, communicate and advance faster than ever
RTL developmentVerilog/System VerilogFPGA RTL portingHigh speed FPGA RTLIO mappingSynthesisSTALint & CDC
🇮🇳Added 6 days ago
Sr Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
VerilogVHDLASIC design methodologyRTL codingSTAP&R signoffScan insertionATPG generationAgile
🇧🇬Added 6 days ago
Staff ASIC Design Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
UNIXRTL DesignSynopsysCadence simulation toolsSTADFTFormal Equivalence toolsCadence VirtuosoPLLsJIRA + 1
🇮🇳Added 7 days ago
Sr. Staff Physical Design Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
Cadence iSpatialFusion CompilerRedhawkPrimetimeTempusConformal/FormalitySynthesisFloorplanPlacementCTS + 8
Get notifications to your inbox about new jobs.
No spam. No ads. Unsubscribe anytime.
🇸🇪Added 11 days ago
Digital ASIC Packaging Engineer
Axis Communications AB - We enable a smarter, safer world by creating innovative solutions for improving security and business performance.
ASIC developmentARTPEC SoC chipsPowerSynthesisSTAPackagePCB2.5D3D stackingBump-map + 9
🇮🇳Added 11 days ago
Senior ASIC Design and STA Engineer
NVIDIA is a technology company that specializes in AI computing, with a focus on Deep Learning GPUs
ASICSTASynopsysCadence
🇮🇳Added 12 days ago
Circuit Design Engineer
NVIDIA is a technology company that specializes in AI computing, with a focus on Deep Learning GPUs
SRAM arraysAnalog circuitsDigital circuitsSPICE simulationsVariation analysisSelftime analysisBitcell analysisSA analysisEMIR + 3
🇮🇳Added 17 days ago
Staff Engineer - ASIC Digital Design
Micron Technology Taiwan - Micron Technology is a world leader in innovating memory and storage solutions that accelerate the transformation of information into intelligence, inspiring the world to learn, communicate and advance faster than ever
Digital DesignVerilogScripting languagesSynthesisSTALintCDCDDR/LPDDR JEDEC protocolDDR PHY designsDDR training algorithms + 4
🇧🇬Added 19 days ago
Staff ASIC Design Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
UNIXRTL designSimulation toolsSynopsysCadence simulation toolsSTADFTFormal Equivalence toolsCadence VirtuosoPLLs + 2
🇧🇬Added 19 days ago
Staff ASIC Design Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
UNIXRTL designSimulation toolsSynopsysCadence simulation toolsSTADFTFormal Equivalence toolsCadence VirtuosoPLLs + 2
🇮🇳Added 24 days ago
Senior Engineer- ASIC Design
Micron Technology Taiwan - Micron Technology is a world leader in innovating memory and storage solutions that accelerate the transformation of information into intelligence, inspiring the world to learn, communicate and advance faster than ever
VerilogPCIe/NVMe/AXI/DDRSynthesisSTALint & CDC
🇮🇳Added 24 days ago
Staff Engineer - ASIC Digital Design
Micron Technology Taiwan - Micron Technology is a world leader in innovating memory and storage solutions that accelerate the transformation of information into intelligence, inspiring the world to learn, communicate and advance faster than ever
VerilogSynthesisSTALint & CDCDDR/LPDDR JEDEC protocolDDR PHY designsDDR training algorithmsData path designsAPB/JTAGDFI
🇧🇬Added 24 days ago
Staff ASIC Design Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
RTL Designmixed-signal designdebuggingSynopsysCadence simulation toolsSTADFTFormal Equivalence toolsCadence VirtuosoPLLs + 2
🇧🇬Added 25 days ago
Staff ASIC Digital Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
RTL DesignSynopsysCadence simulation toolsCadence SynthesisSTADFTFormal Equivalence toolsCadence VirtuosoPLLsJIRA + 1
🇧🇬Added 25 days ago
Staff Verification Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
SystemVerilog UVMASIC verificationSynopsysCadence simulation toolsRTLGate level debuggingmixed-signal ASICsSTADFTFormal Equivalence tools + 4
🇧🇬Added 25 days ago
Staff Digital Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
mixed signal ICSynopsysCadence simulation toolsSTADFTFormal Equivalence toolsRTLGate level debuggingmixed-signal ASICsPLLs + 3
🇮🇳Added a month ago
Senior Staff Engineer
MSI - (Marvell Semiconductor Inc.) US - Marvell’s semiconductor solutions are the essential building blocks of the data infrastructure that connects our world.
SynthesisP&Rphysical verificationSTAEnterpriseCloud
🇦🇹Added a month ago
Staff Digital Design Engineer
Renesas Electronics - Renesas is a top global semiconductor company developing products for automotive, industrial, infrastructure, and IoT markets.
VerilogVHDLOVMABVSynopsys DCSTAP&RAgile